Papers - Yoshioka, Kentaro
-
Kondo S., Kubota H., Katagiri H., Ota Y., Hirono M., Ta T.T., Okuni H., Ohtsuka S., Ojima Y., Sugimoto T., Ishii H., Yoshioka K., Kimura K., Sai A., Matsumoto N.
IEEE Journal of Solid-State Circuits (IEEE Journal of Solid-State Circuits) 55 ( 11 ) 2866 - 2877 2020.11
Research paper (scientific journal), Joint Work, Accepted, ISSN 00189200
-
A 2D-SPAD Array and Read-Out AFE for Next-Generation Solid-State LiDAR
Ta T.T., Kubota H., Kokubun K., Sugimoto T., Hirono M., Sengoku M., Katagiri H., Okuni H., Kondo S., Ohtsuka S., Kwon H., Sasaki K., Ota Y., Suzuki K., Kimura K., Yoshioka K., Sai A., Matsumoto N.
Symposium on VLSI Circuits (IEEE) 2020.06
Research paper (international conference proceedings), Joint Work, Accepted
-
Kondo S., Kubota H., Katagiri H., Ota Y., Hirono M., Ta T.T., Okuni H., Ohtsuka S., Ojima Y., Sugimoto T., Ishii H., Yoshioka K., Kimura K., Sai A., Matsumoto N.
International Solid-State Circuits Conference-(ISSCC) (IEEE) 2020.02
Research paper (international conference proceedings), Joint Work, Accepted
-
Digital Amplifier: A Power-Efficient and Process-Scaling Amplifier for Switched Capacitor Circuits
Yoshioka K., Sugimoto T., Waki N., Kim S., Kurose D., Ishii H., Furuta M., Sai A., Ishikuro H., Itakura T.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems (IEEE Transactions on Very Large Scale Integration (VLSI) Systems) 27 ( 11 ) 2575 - 2586 2019.11
Research paper (scientific journal), Joint Work, Lead author, Accepted, ISSN 10638210
-
An 8 bit 12.4 TOPS/W phase-domain MAC circuit for energy-constrained deep learning accelerators
Y Toyama, K Yoshioka, K Ban, S Maya, A Sai, K Onizuka
IEEE Journal of Solid-State Circuits 54 (10), 2730-2742 (IEEE Journal of Solid-State Circuits) 54 ( 10 ) 2730 - 2742 2019.10
Research paper (scientific journal), Joint Work, Accepted, ISSN 00189200
-
Dataset Culling: Towards Efficient Training of Distillation-Based Domain Specific Models
Yoshioka K., Lee E., Wong S., Horowitz M.
International Conference on Image Processing, ICIP (IEEE) 2019.09
Research paper (international conference proceedings), Joint Work, Lead author, Accepted
-
Toyama Y., Yoshioka K., Ban K., Sai A., Onizuka K.
Asian Solid State Circuits Conference (A-SSCC) (IEEE) 2018.12
Research paper (international conference proceedings), Joint Work, Accepted
-
Kawai S., Ito R., Nakata K., Shimizu Y., Nagata M., Takeuchi T., Kobayashi H., Ikeuchi K., Kato T., Hagiwara Y., Fujimura Y., Yoshioka K., Saigusa S., Yoshida H., Arai M., Yamagishi T., Kajihara H., Horiuchi K., Yamada H., Suzuki T., Ando Y., Nakanishi K., Ban K., Sekiya M., Egashira Y., Aoki T., Onizuka K., Mitomo T.
IEEE Journal of Solid-State Circuits (IEEE Journal of Solid-State Circuits) 53 ( 12 ) 3688 - 3699 2018.12
Research paper (scientific journal), Accepted, ISSN 00189200
-
Yoshioka K., Kubota H., Fukushima T., Kondo S., Ta T.T., Okuni H., Watanabe K., Hirono M., Ojima Y., Kimura K., Hosoda S., Ota Y., Koizumi T., Kawabe N., Ishii Y., Iwagami Y., Yagi S., Fujisawa I., Kano N., Sugimoto T., Kurose D., Waki N., Higashi Y., Nakamura T., Nagashima Y., Ishii H., Sai A., Matsumoto N.
IEEE Journal of Solid-State Circuits (IEEE Journal of Solid-State Circuits) 53 ( 11 ) 3026 - 3038 2018.11
Research paper (scientific journal), Lead author, Accepted, ISSN 00189200
-
Yoshioka K., Toyama Y., Ban K., Yashima D., Maya S., Sai A., Onizuka K.
Symposium on VLSI Circuits (IEEE) 2018.10
Research paper (international conference proceedings), Joint Work, Lead author, Accepted
-
Kawai S., Aoyama H., Ito R., Shimizu Y., Ashida M., Maki A., Takeuchi T., Kobayashi H., Urakawa G., Hoshino H., Saigusa S., Koyama K., Morita M., Nihei R., Goto D., Nagata M., Nakata K., Ikeuchi K., Yoshioka K., Tachibana R., Arai M., Teh C.K., Suzuki A., Yoshida H., Hagiwara Y., Kato T., Seto I., Horiguchi T., Ban K., Takahashi K., Kajihara H., Yamagishi T., Fujimura Y., Horiuchi K., Nonin K., Kurose K., Yamada H., Taniguchi K., Sekiya M., Tomizawa T., Taki D., Ikuta M., Suzuki T., Ando Y., Yashima D., Kaihotsu T., Mori H., Nakanishi K., Kumagaya T., Unekawa Y., Aoki T., Onizuka K., Mitomo T.
International Solid-State Circuits Conference-(ISSCC) (IEEE) 61 442 - 444 2018.03
Research paper (international conference proceedings), Joint Work, Accepted
-
A 20ch TDC/ADC hybrid SoC for 240× 96-pixel 10%-reflection< 0.125%-precision 200m-range imaging LiDAR with smart accumulation technique
Kentaro Yoshioka, Hiroshi Kubota, Tomonori Fukushima, Satoshi Kondo, Tuan Thanh Ta, Hidenori Okuni, Kaori Watanabe, Masatoshi Hirono, Yoshinari Ojima, Katsuyuki Kimura, Sohichiroh Hosoda, Yutaka Ota, Tomohiro Koizumi, Naoyuki Kawabe, Yasuhiro Ishii, Yoichiro Iwagami, Seitaro Yagi, Isao Fujisawa, Nobuo Kano, Tomohiko Sugimoto, Daisuke Kurose, Naoya Waki, Yumi Higashi, Tetsuya Nakamura, Yoshikazu Nagashima, Hirotomo Ishii, Akihide Sai, Nobu Matsumoto
International Solid-State Circuits Conference-(ISSCC) (IEEE) 2018.02
Research paper (international conference proceedings), Joint Work, Lead author, Accepted
-
A 0.7 V 12b 160MS/s 12.8 fJ/conv-step pipelined-SAR ADC in 28nm CMOS with digital amplifier technique
Kentaro Yoshioka, Tomohiko Sugimoto, Naoya Waki, Sinnyoung Kim, Daisuke Kurose, Hirotomo Ishii, Masanori Furuta, Akihide Sai, Tetsuro Itakura
International Solid-State Circuits Conference-(ISSCC) (IEEE) 2017.02
Research paper (international conference proceedings), Joint Work, Lead author, Accepted
-
Dynamic architecture and frequency scaling in 0.8–1.2 GS/s 7 b subranging ADC
Kentaro Yoshioka, Ryo Saito, Takumi Danjo, Sanroku Tsukamoto, Hiroki Ishikuro
Journal of Solid State Circuits (IEEE) 50 ( 4 ) 932 - 945 2015.04
Research paper (scientific journal), Joint Work, Lead author, Accepted
-
A 13b SAR ADC with eye-opening VCO based comparator
Kentaro Yoshioka, Hiroki Ishikuro
European Solid State Circuits Conference (ESSCIRC) 2014.09
Research paper (international conference proceedings), Joint Work, Lead author, Accepted
-
7-bit 0.8–1.2 GS/s dynamic architecture and frequency scaling subrange ADC with binary-search/flash live configuring technique
Kentaro Yoshioka, R Saito, T Danjo, S Tsukamoto, H Ishikuro
Symposium on VLSI Circuits (IEEE) 2014.06
Research paper (international conference proceedings), Joint Work, Lead author, Accepted
-
An 8 bit 0.3–0.8 V 0.2–40 MS/s 2-bit/step SAR ADC with successively activated threshold configuring comparators in 40 nm CMOS
Kentaro Yoshioka, Akira Shikata, Ryota Sekimoto, Tadahiro Kuroda, Hiroki Ishikuro
Transactions on Very Large Scale Integration (VLSI) Systems (IEEE) 23 ( 2 ) 356 - 368 2014.02
Research paper (scientific journal), Joint Work, Lead author, Accepted
-
An 8b extremely area efficient threshold configuring SAR ADC with source voltage shifting technique
Kentaro Yoshioka, A Shikata, R Sekimoto, T Kuroda, H Ishikuro
Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE) 2014.01
Research paper (international conference proceedings), Joint Work, Lead author, Accepted
-
A 0.5-V 5.2-fJ/conversion-step full asynchronous SAR ADC with leakage power reduction down to 650 pW by boosted self-power gating in 40-nm CMOS
Ryota Sekimoto, Akira Shikata, Kentaro Yoshioka, Tadahiro Kuroda, Hiroki Ishikuro
Journal of Solid State Circuits (IEEE) 2013.11
Research paper (scientific journal), Joint Work, Accepted
-
An adaptive DAC settling waiting time optimized ultra low voltage asynchronous SAR ADC in 40 nm CMOS
Ryota Sekimoto, Akira Shikata, Kentaro Yoshioka, Tadahiro Kuroda, Hiroki Ishikuro
Transactions on electronics (IEICE) E96-C ( 6 ) 820 - 827 2013.06
Research paper (scientific journal), Joint Work, Accepted