論文 - 天野 英晴
-
RT-libSGM: An Implementation of a Real-time Stereo Matching System on FPGA
Wei K., Kuno Y., Arai M., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 1 - 9 2022年06月
-
Mapping-Aware Kernel Partitioning Method for CGRAs Assisted by Deep Learning
Kojima T., Ohwada A., Amano H.
IEEE Transactions on Parallel and Distributed Systems (IEEE Transactions on Parallel and Distributed Systems) 33 ( 5 ) 1213 - 1230 2022年05月
ISSN 10459219
-
A traffic-aware memory-cube network using bypassing
Shikama Y., Kawano R., Matsutani H., Amano H., Nagasaka Y., Fukumoto N., Koibuchi M.
Microprocessors and Microsystems (Microprocessors and Microsystems) 90 2022年04月
ISSN 01419331
-
Body Bias Control on a CGRA based on Convex Optimization
Kojima T., Okuhara H., Kondo M., Amano H.
25th IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 2022 - Proceedings (25th IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 2022 - Proceedings) 2022年
-
Ohwada A., Kojima T., Amano H.
Proceedings - 30th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2022 (Proceedings - 30th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2022) 1 - 9 2022年
-
Power Analysis of Directly-connected FPGA Clusters
Iizuka K., Takagi H., Kamei A., Hironaka K., Amano H.
25th IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 2022 - Proceedings (25th IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 2022 - Proceedings) 2022年
-
Power Consumption Reduction Method and Edge Offload Server for Multiple Robots
Natsuho S., Ohkawa T., Amano H., Sugaya M.
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)) 12990 LNCS 1 - 19 2022年
ISSN 03029743
-
Analytical performance estimation for large-scale reconfigurable dataflow platforms
Yasudo R., Coutinho J.G.F., Varbanescu A.L., Luk W., Amano H., Becker T., Guo C.
ACM Transactions on Reconfigurable Technology and Systems (ACM Transactions on Reconfigurable Technology and Systems) 14 ( 3 ) 2021年09月
ISSN 19367406
-
A programming environment for multi-FPGA systems based on CyberWorkBench: An integrated design tool
Suzuki H., Takahashi W., Wakabayashi K., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 2021年06月
-
GPU Parallelization of All-Pairs-Shortest-Path Algorithm in Low-Degree Unweighted Regular Graph
Kawano R., Matsutani H., Koibuchi M., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 51 - 55 2021年06月
-
Implementing VTA, a tensor accelerator on Flow-in-Cloud
Hironaka K., Iizuka K., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 46 - 50 2021年06月
-
Recovering faulty non-volatile flip flops for coarse-grained reconfigurable architectures
IKEZOE T., KOJIMA T., AMANO H.
IEICE Transactions on Electronics (IEICE Transactions on Electronics) 1 ( 6 ) 215 - 225 2021年06月
ISSN 09168524
-
Hybrid Network of Packet Switching and STDM in a Multi-FPGA System
Shimizu T., Ito K., Iizuka K., Hironaka K., Amano H.
IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2021 - Proceedings (IEEE Symposium in Low-Power and High-Speed Chips, COOL CHIPS 2021 - Proceedings) 2021年04月
-
A Case for Low-Latency Network-on-Chip using Compression Routers
Niwa N., Shikama Y., Amano H., Koibuchi M.
Proceedings - 29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2021 (Proceedings - 29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2021) 134 - 142 2021年03月
-
Low-Latency Low-Energy Memory-Cube Networks using Dual-Voltage Datapaths
Shikama Y., Kawano R., Matsutani H., Amano H., Nagasaka Y., Fukumoto N., Koibuchi M.
Proceedings - 29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2021 (Proceedings - 29th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2021) 143 - 147 2021年03月
-
TCI Tester: Tester for through Chip Interface
Kayashima H., Amano H.
Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC) 103 - 104 2021年01月
-
M-KUBOS/PYNQ Cluster for multi-Access edge computing
Inage T., Hironaka K., Iizuka K., Ito K., Fukushima Y., Namiki M., Amano H.
Proceedings - 2021 9th International Symposium on Computing and Networking, CANDAR 2021 (Proceedings - 2021 9th International Symposium on Computing and Networking, CANDAR 2021) 95 - 101 2021年
-
Low-Latency High-Bandwidth Interconnection Networks by Selective Packet Compression
Niwa N., Amano H., Koibuchi M.
Proceedings - 2021 9th International Symposium on Computing and Networking, CANDAR 2021 (Proceedings - 2021 9th International Symposium on Computing and Networking, CANDAR 2021) 56 - 64 2021年
-
Improving the performance of circuit-switched interconnection network for a multi-FPGA system
Ito K., Iizuka K., Hironaka K., Hu Y., Koibuchi M., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E104D ( 12 ) 2029 - 2039 2021年
ISSN 09168532
-
Energy saving in a multi-context coarse grained reconfigurable array with non-volatile flip-flops
Kamei A., Kojima T., Amano H., Yokoyama D., Miyauchi H., Usami K., Hiraga K., Suzuki K., Bessho K.
Proceedings - 2021 IEEE 14th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2021 (Proceedings - 2021 IEEE 14th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2021) 273 - 280 2021年
-
CLAHE implementation and evaluation on a low-end FPGA board by high-level synthesis
Honda K., Wei K., Arai M., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E104D ( 12 ) 2048 - 2056 2021年
ISSN 09168532
-
CASE: CNN Acceleration for Speech-Classification in Edge-Computing
Gulzar H., Shakeel M., Nishida K., Itoyama K., Nakadai K., Amano H.
Proceedings - 2021 IEEE Cloud Summit, Cloud Summit 2021 (Proceedings - 2021 IEEE Cloud Summit, Cloud Summit 2021) 63 - 68 2021年
-
Kayashima H., Amano H.
Proceedings - 2021 9th International Symposium on Computing and Networking Workshops, CANDARW 2021 (Proceedings - 2021 9th International Symposium on Computing and Networking Workshops, CANDARW 2021) 292 - 296 2021年
-
An FPGA-based optimizer design for distributed deep learning with multiple GPUs
Itsubo T., Koibuchi M., Amano H., Matsutani H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E104D ( 12 ) 2057 - 2067 2021年
ISSN 09168532
-
A multi-tenant resource management system for multi-FPGA systems
Yamakura M., Takano R., Ben Ahmed A., Sugaya M., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E104D ( 12 ) 2078 - 2088 2021年
ISSN 09168532
-
Parallel Implementation of CNN on Multi-FPGA Cluster
Fukushima Y., Iizuka K., Amano H.
Proceedings - 2021 IEEE 14th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2021 (Proceedings - 2021 IEEE 14th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2021) 77 - 83 2021年
-
Weight Least Square Filter for Improving the Quality of Depth Map on FPGA
Mao R., Wei K., Amano H., Kuno Y., Arai M.
Proceedings - 2021 9th International Symposium on Computing and Networking Workshops, CANDARW 2021 (Proceedings - 2021 9th International Symposium on Computing and Networking Workshops, CANDARW 2021) 297 - 300 2021年
-
Resource-saving FPGA Implementation of the Satisfiability Problem Solver: AmoebaSATslim
Yan Y.J., Amano H., Aono M., Ohkoda K., Fukuda S., Saito K., Kasai S.
2021 International Conference on Field-Programmable Technology, ICFPT 2021 (2021 International Conference on Field-Programmable Technology, ICFPT 2021) 2021年
-
Remote dynamic reconfiguration of a multi-FPGA system FiC (flow-in-cloud)
Hironaka K., Iizuka K., Yamakura M., Ben Ahmed A., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E104D ( 8 ) 1321 - 1331 2021年
ISSN 09168532
-
FiC-RNN: A multi-FPGA acceleration framework for deep recurrent neural networks
Sun Y., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E103D ( 12 ) 2457 - 2462 2020年12月
ISSN 09168532
-
Traffic-independent multi-path routing for high-throughput data center networks
Kawano R., Yasudo R., Matsutani H., Koibuchi M., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E103D ( 12 ) 2471 - 2479 2020年12月
ISSN 09168532
-
Automated Integration of High-Level Synthesis FPGA Modules with ROS2 Systems
Leal D.P., Sugaya M., Amano H., Ohkawa T.
Proceedings - 2020 International Conference on Field-Programmable Technology, ICFPT 2020 (Proceedings - 2020 International Conference on Field-Programmable Technology, ICFPT 2020) 292 - 293 2020年12月
-
An implementation methodology for Neural Network on a Low-end FPGA Board
Wei K., Honda K., Amano H.
Proceedings - 2020 8th International Symposium on Computing and Networking, CANDAR 2020 (Proceedings - 2020 8th International Symposium on Computing and Networking, CANDAR 2020) 228 - 234 2020年11月
-
Layout-Oriented Low-Diameter Topology for HPC Interconnection Networks
Kawano R., Matsutani H., Amano H.
Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020 (Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020) 93 - 99 2020年11月
-
Ito K., Iizuka K., Hironaka K., Hu Y., Koibuchi M., Amano H.
Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020 (Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020) 211 - 217 2020年11月
-
Yamauchi Y., Ahmed A.B., Hironaka K., Iizuka K., Amano H.
Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020 (Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020) 277 - 281 2020年11月
-
Kojima T., Doan N.A.V., Amano H.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems (IEEE Transactions on Very Large Scale Integration (VLSI) Systems) 28 ( 11 ) 2383 - 2396 2020年11月
ISSN 10638210
-
FPGA Acceleration of ROS2-Based Reinforcement Learning Agents
Leal D.P., Sugaya M., Amano H., Ohkawa T.
Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020 (Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020) 106 - 112 2020年11月
-
Exploiting temporal parallelism in particle-based incompressive fluid simulation on FPGA
Orsztynowicz M., Amano H., Kubota K., Miyajima T.
Proceedings - 2020 8th International Symposium on Computing and Networking, CANDAR 2020 (Proceedings - 2020 8th International Symposium on Computing and Networking, CANDAR 2020) 195 - 201 2020年11月
-
CLAHE implementation on a low-end FPGA board by high-level synthesis
Honda K., Wei K., Arai M., Amano H.
Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020 (Proceedings - 2020 8th International Symposium on Computing and Networking Workshops, CANDARW 2020) 282 - 285 2020年11月
-
A Method of Partitioning Convolutional Layer to Multiple FPGAs
Iizuka K., Ito K., Hironaka K., Amano H.
Proceedings - International SoC Design Conference, ISOCC 2020 (Proceedings - International SoC Design Conference, ISOCC 2020) 25 - 26 2020年10月
-
Nishio S., Pan Y., Satoh T., Amano H., Meter R.V.
ACM Journal on Emerging Technologies in Computing Systems (ACM Journal on Emerging Technologies in Computing Systems) 16 ( 3 ) 2020年07月
ISSN 15504832
-
Usami K., Akiba S., Amano H., Ikezoe T., Hiraga K., Suzuki K., Kanda Y.
IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL CHIPS 2020 - Proceedings (IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL CHIPS 2020 - Proceedings) 2020年04月
-
Accelerating Deep Learning using Multiple GPUs and FPGA-Based 10GbE Switch
Itsubo T., Koibuchi M., Amano H., Matsutani H.
Proceedings - 2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2020 (Proceedings - 2020 28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2020) 102 - 109 2020年03月
-
Body bias optimization for real-time systems
Torres C.C.C., Yasudo R., Amano H.
Journal of Low Power Electronics and Applications (Journal of Low Power Electronics and Applications) 10 ( 1 ) 2020年03月
-
Implementation of FM-Index Based Pattern Search on a Multi-FPGA System
Ullah M.M.I., Ben Ahmed A., Amano H.
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)) 12083 LNCS 376 - 391 2020年
ISSN 03029743
-
Designing low-diameter interconnection networks with multi-ported host-switch graphs
Yasudo R., Nakano K., Koibuchi M., Matsutani H., Amano H.
Concurrency Computation (Concurrency Computation) 2020年
ISSN 15320626
-
A generalized theory based on the turn model for deadlock-free irregular networks
Kawano R., Yasudo R., Matsutani H., Koibuchi M., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E103D ( 1 ) 101 - 110 2020年
ISSN 09168532
-
A coarse-grained reconfigurable architecture with a fault tolerant non-volatile configurable memory
Ikezoe T., Kojima T., Amano H.
Proceedings - 2019 International Conference on Field-Programmable Technology, ICFPT 2019 (Proceedings - 2019 International Conference on Field-Programmable Technology, ICFPT 2019) 2019-December 81 - 89 2019年12月
-
A rapid optimization method for visual indirect SLAM using a subset of feature points
Kazami R., Amano H.
Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019 (Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019) 275 - 279 2019年11月
-
Deadlock-free layered routing for infiniband networks
Kawano R., Matsutani H., Amano H.
Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019 (Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019) 84 - 90 2019年11月
-
Acceleration of ART Algorithm on an FPGA Board with Xilinx SDAccel
Okamoto Y., Amano H.
Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019 (Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019) 280 - 284 2019年11月
-
Real chip performance evaluation on through chip interface IP for renesas SOTB 65nm process
Kayashima H., Kojima T., Okuhara H., Shidei T., Amano H.
Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019 (Proceedings - 2019 7th International Symposium on Computing and Networking Workshops, CANDARW 2019) 269 - 274 2019年11月
-
A Preliminary evaluation of building block computing systems
Terashima S., Kojima T., Okuhara H., Musha K., Amano H., Sakamoto R., Kondo M., Namiki M.
Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019 (Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019) 312 - 319 2019年10月
-
Fpga/python co-design for lane line detection on a pynq-z1 board
Honda K., Wei K., Amano H.
Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019 (Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019) 53 - 60 2019年10月
-
A System delay monitor exploiting automatic cell-based design flow and post-silicon calibration
Okuhara H., Kazami R., Amano H.
Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019 (Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019) 32 - 37 2019年10月
-
A Stdm (static time division multiplexing) switch on a multi-fpga system
Azegami K., Musha K., Hironaka K., Ben Ahmed A., Koibuch M., Hu Y., Amano H.
Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019 (Proceedings - 2019 IEEE 13th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2019) 328 - 333 2019年10月
-
Demonstration of flow-in-cloud: A multi-FPGA system
Hironaka K., Iizuka K., Ben Ahmed A., Imdad Ullah M.M., Yamauchi Y., Sun Y., Yamakura M., Hiruma A., Amano H.
Proceedings - 29th International Conference on Field-Programmable Logic and Applications, FPL 2019 (Proceedings - 29th International Conference on Field-Programmable Logic and Applications, FPL 2019) 417 - 418 2019年09月
-
Demonstration of low power stream processing using a variable pipelined CGRA
Kojima T., Ando N., Matsushita Y., Amano H.
Proceedings - 29th International Conference on Field-Programmable Logic and Applications, FPL 2019 (Proceedings - 29th International Conference on Field-Programmable Logic and Applications, FPL 2019) 411 - 412 2019年09月
-
Multi-FPGA Management on Flow-in-Cloud Prototype System
Hironaka K., Akram B.A., Amano H.
Proceedings - 20th IEEE/ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2019 (Proceedings - 20th IEEE/ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2019) 443 - 448 2019年07月
-
Refinements in Data Manipulation Method for Coarse Grained Reconfigurable Architectures
Kojima T., Amano H.
Proceedings - 2019 14th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip, ReCoSoC 2019 (Proceedings - 2019 14th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip, ReCoSoC 2019) 113 - 120 2019年07月
-
Acceleration of deep recurrent neural networks with an FPGA cluster
Sun Y., Ben Ahmed A., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 2019年06月
-
An ARM-based heterogeneous FPGA accelerator for hall thruster simulation
Noda H., Orsztynowicz M., Iizuka K., Miyajima T., Fujita N., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 2019年06月
-
The evaluation of partial reconfiguration for a multi-board FPGA system FiCSW
Yamakura M., Hironaka K., Azegami K., Musha K., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 2019年06月
-
Sparse 3-D NoCs with inductive coupling
Koibuchi M., Leong L., Totoki T., Niwa N., Matsutani H., Amano H., Casanova H.
Proceedings - Design Automation Conference (Proceedings - Design Automation Conference) 2019年06月
ISSN 0738100X
-
Implementing a large application(LSTM) on the multi-FPGA system: Flow-in-Cloud
Yamauchi Y., Musha K., Amano H.
IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL CHIPS 2019 - Proceedings (IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL CHIPS 2019 - Proceedings) 2019年05月
-
Key-value Store Chip Design for Low Power Consumption
Tokusashi Y., Matsutani H., Amano H.
IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL CHIPS 2019 - Proceedings (IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL CHIPS 2019 - Proceedings) 2019年05月
-
A coarse grained-reconfigurable accelerator with energy efficient MTJ-based non-volatile flip-flops
Ikezoe T., Amano H., Akaike J., Usami K., Kudo M., Hiraga K., Shuto Y., Yagami K.
2018 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2018 (2018 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2018) 2019年02月
-
Designing High-Performance Interconnection Networks with Host-Switch Graphs
Yasudo R., Koibuchi M., Nakano K., Matsutani H., Amano H.
IEEE Transactions on Parallel and Distributed Systems (IEEE Transactions on Parallel and Distributed Systems) 30 ( 2 ) 315 - 330 2019年02月
ISSN 10459219
-
A fine-grained multicasting of configuration data for coarse-grained reconfigurable architectures
Kojima T., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E102D ( 7 ) 1247 - 1256 2019年
ISSN 09168532
-
Usami K., Kogure S., Yoshida Y., Magasaki R., Amano H.
IFIP Advances in Information and Communication Technology (IFIP Advances in Information and Communication Technology) 500 1 - 21 2019年
ISSN 18684238
-
K-Optimized Path Routing for High-Throughput Data Center Networks
Kawano R., Yasudo R., Matsutani H., Amano H.
Proceedings - 2018 6th International Symposium on Computing and Networking, CANDAR 2018 (Proceedings - 2018 6th International Symposium on Computing and Networking, CANDAR 2018) 99 - 105 2018年12月
-
An extension of a temperature modeling tool hotspot 6.0 for castle-of-chips stacking
Totoki T., Koibuchi M., Amano H.
Proceedings - 2018 6th International Symposium on Computing and Networking Workshops, CANDARW 2018 (Proceedings - 2018 6th International Symposium on Computing and Networking Workshops, CANDARW 2018) 363 - 369 2018年12月
-
C4: An FPGA-based compression algorithm for expether
Shimura H., Noda H., Amano H.
Proceedings - 2018 6th International Symposium on Computing and Networking Workshops, CANDARW 2018 (Proceedings - 2018 6th International Symposium on Computing and Networking Workshops, CANDARW 2018) 356 - 362 2018年12月
-
An trace-driven performance prediction method for exploring noc design optimization
Niwa N., Totoki T., Matsutani H., Koibuchi M., Amano H.
Proceedings - 2018 6th International Symposium on Computing and Networking Workshops, CANDARW 2018 (Proceedings - 2018 6th International Symposium on Computing and Networking Workshops, CANDARW 2018) 182 - 185 2018年12月
-
FPGA Design for Autonomous Vehicle Driving Using Binarized Neural Networks
Wei K., Honda K., Amano H.
Proceedings - 2018 International Conference on Field-Programmable Technology, FPT 2018 (Proceedings - 2018 International Conference on Field-Programmable Technology, FPT 2018) 428 - 431 2018年12月
-
Performance Estimation for Exascale Reconfigurable Dataflow Platforms
Yasudo R., Coutinho J., Varbanescu A., Luk W., Amano H., Becker T.
Proceedings - 2018 International Conference on Field-Programmable Technology, FPT 2018 (Proceedings - 2018 International Conference on Field-Programmable Technology, FPT 2018) 317 - 320 2018年12月
-
Adaptive body bias control scheme for ultra low-power network-on-chip systems
Ben Ahmed A., Okuhara H., Matsutani H., Koibuchi M., Amano H.
Proceedings - 2018 IEEE 12th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2018 (Proceedings - 2018 IEEE 12th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2018) 146 - 153 2018年11月
-
Energy Efficient Write Verify and Retry Scheme for MTJ Based Flip-Flop and Application
Usami K., Akaike J., Akiba S., Kudo M., Amano H., Ikezoe T., Hiraga K., Shuto Y., Yagami K.
Proceedings - 7th IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2018 (Proceedings - 7th IEEE Non-Volatile Memory Systems and Applications Symposium, NVMSA 2018) 91 - 98 2018年11月
-
A configuration data multicasting method for coarse-grained reconfigurable architectures
Kojima T., Amano H.
Proceedings - 2018 International Conference on Field-Programmable Logic and Applications, FPL 2018 (Proceedings - 2018 International Conference on Field-Programmable Logic and Applications, FPL 2018) 239 - 242 2018年11月
-
AxNoC: Low-power Approximate Network-on-Chips using Critical-Path Isolation
Ahmed A.B., Fujiki D., Matsutani H., Koibuchi M., Amano H.
2018 12th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2018 (2018 12th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2018) 2018年10月
-
Body Bias Control for Renewable Energy Source with a High Inner Resistance
Azegami K., Okuhara H., Amano H.
IEEE Transactions on Multi-Scale Computing Systems (IEEE Transactions on Multi-Scale Computing Systems) 4 ( 4 ) 605 - 612 2018年10月
-
Performance Prediction for Large-Scale Heterogeneous Platforms
Yasudo R., Varbanescu A., Coutinho J., Luk W., Amano H.
Proceedings - 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2018 (Proceedings - 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2018) 2018年09月
-
Accelerator-in-Switch: a framework for tightly couple switching hub and an accelerator with FPGA
Chiharu Tsuruta, Takahiro Kaneda, Naoki Nishikawa, Hideharu Amano
International Conference on Filed Programmable Logic and Applications 2018年09月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Proxy responses by FPGA-based switch for MapReduce stragglers
Mitsuzuka K., Koibuchi M., Amano H., Matsutani H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E101D ( 9 ) 2258 - 2268 2018年09月
ISSN 09168532
-
Implementation of Bitsliced AES Encryption on CUDA-Enabled GPU
Naoki Nishikawa, Hideharu Amano, Keisuke Iwai
International Conference on Network and System Security 2018年08月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Optimization of Body Biasing for Variable Pipelined Coarse Grained Reconfigurable Architecture
天野 英晴
IEICE Transactions on Information and Systems E10-D ( 6 ) 2018年08月
研究論文(学術雑誌), 共著, 査読有り
-
Digitally Assisted On-Chip Body Bias Tuning Scheme for Ultra Low-Power VLSI Systems
Okuhara H., Ahmed A.B., Amano H.
IEEE Transactions on Circuits and Systems I: Regular Papers (IEEE Transactions on Circuits and Systems I: Regular Papers) 65 ( 10 ) 3241 - 3254 2018年08月
研究論文(学術雑誌), 共著, 査読有り, ISSN 15498328
-
Asymmetric Body Bias Control With Low-Power FD-SOI Technologies: Modeling and Power Optimization
Okuhara H., Ben Ahmed A., Kühn J., Amano H.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems (IEEE Transactions on Very Large Scale Integration (VLSI) Systems) 26 ( 7 ) 1254 - 1267 2018年08月
研究論文(学術雑誌), 共著, ISSN 10638210
-
Superpixel accelerator for computer vision applications on arria 10 SoC
Akagic A., Buza E., Turcinhodzic R., Haseljic H., Hiroyuki N., Amano H.
Proceedings - 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2018 (Proceedings - 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2018) 55 - 60 2018年07月
-
Real chip evaluation of a low power CGRA with optimized application mapping
Kojima T., Ando N., Matshushita Y., Okuhara H., Doan N.A.V., Amano H.
ACM International Conference Proceeding Series (ACM International Conference Proceeding Series) 2018年06月
-
Design automation methodology of a critical path monitor for adaptive voltage controls
Kazami R., Okuhara H., Amano H.
21st IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 2018 - Proceedings (21st IEEE Symposium on Low-Power and High-Speed Chips and Systems, COOL Chips 2018 - Proceedings) 1 - 3 2018年06月
-
低電力再構成アクセラレータの実装と評価
増山滉一郎、藤田悠、奥原颯、天野 英晴
電子情報通信学会論文誌 2018年06月
査読有り
-
Optimization of body biasing for variable pipelined coarse-grained reconfigurable architectures
Kojima T., Ando N., Okuhara H., Doan N.A.V., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E101D ( 6 ) 1532 - 1540 2018年06月
ISSN 09168532
-
An inductive-coupling link for 3-D Network-on-Chips
Kadomoto J., Amano H., Kuroda T.
Proceedings - International SoC Design Conference 2017, ISOCC 2017 (Proceedings - International SoC Design Conference 2017, ISOCC 2017) 150 - 151 2018年05月
-
HiRy: An advanced theory on design of deadlock-free adaptive routing for arbitrary topologies
Kawano R., Yasudo R., Matsutani H., Koibuchi M., Amano H.
Proceedings of the International Conference on Parallel and Distributed Systems - ICPADS (Proceedings of the International Conference on Parallel and Distributed Systems - ICPADS) 2017-December 664 - 673 2018年05月
ISSN 15219097
-
Digital embedded memory scheme using voltage scaling and body bias separation for low-power system
Yoshida Y., Usami K., Amano H.
Proceedings - International SoC Design Conference 2017, ISOCC 2017 (Proceedings - International SoC Design Conference 2017, ISOCC 2017) 148 - 149 2018年05月
-
Building block operating system for 3D stacked computer systems with inductive coupling interconnect
Hamada S., Koshiba A., Namiki M., Amano H.
Proceedings - International SoC Design Conference 2017, ISOCC 2017 (Proceedings - International SoC Design Conference 2017, ISOCC 2017) 157 - 158 2018年05月
-
Building block multi-chip systems using inductive coupling through chip interface
Amano H., Kuroda T., Nakamura H., Usami K., Kondo M., Matsutani H., Namiki M.
Proceedings - International SoC Design Conference 2017, ISOCC 2017 (Proceedings - International SoC Design Conference 2017, ISOCC 2017) 152 - 154 2018年05月
-
Scalable deep neural network accelerator cores with cubic integration using through chip interface
Sakamoto R., Takata R., Ishii J., Kondo M., Nakamura H., Ohkubo T., Kojima T., Amano H.
Proceedings - International SoC Design Conference 2017, ISOCC 2017 (Proceedings - International SoC Design Conference 2017, ISOCC 2017) 155 - 156 2018年05月
-
Nomura A., Kadomoto J., Kuroda T., Amano H.
Proceedings - 2017 5th International Symposium on Computing and Networking, CANDAR 2017 (Proceedings - 2017 5th International Symposium on Computing and Networking, CANDAR 2017) 2018-January 126 - 131 2018年04月
-
Analysis of body bias control using overhead conditions for real time systems: A practical approach
Torres C.C.C., Okuhara H., Yamasaki N., Amano H.
IEICE Transactions on Information and Systems (IEICE Transactions on Information and Systems) E101-D ( 4 ) 1116 - 1130 2018年04月
研究論文(学術雑誌), 共著, ISSN 09168532
-
The design and implementation of scalable deep neural network accelerator cores
Sakamoto R., Takata R., Ishii J., Kondo M., Nakamura H., Ohkubo T., Kojima T., Amano H.
Proceedings - IEEE 11th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2017 (Proceedings - IEEE 11th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2017) 2018-January 13 - 20 2018年03月
-
Break even time analysis using empirical overhead parameters for embedded systems on SOTB technology
Cortes C., Amano H., Yamasaki N.
2017 32nd Conference on Design of Circuits and Integrated Systems, DCIS 2017 - Proceedings (2017 32nd Conference on Design of Circuits and Integrated Systems, DCIS 2017 - Proceedings) 2017-November 1 - 6 2018年03月
-
Usami K., Kogure S., Yoshida Y., Magasaki R., Amano H.
2017 IEEE SOI-3D-Subthreshold Microelectronics Unified Conference, S3S 2017 (2017 IEEE SOI-3D-Subthreshold Microelectronics Unified Conference, S3S 2017) 2018-March 1 - 3 2018年03月
-
FPGA-based accelerator for losslessly quantized convolutional neural networks
Sit M., Kazami R., Amano H.
2017 International Conference on Field-Programmable Technology, ICFPT 2017 (2017 International Conference on Field-Programmable Technology, ICFPT 2017) 2018-January 295 - 298 2018年02月
-
Glitch-aware variable pipeline optimization for CGRAs
Kojima T., Ando N., Okuhara H., Amano H.
2017 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2017 (2017 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2017) 2018-January 1 - 6 2018年02月
-
Escalator Network for a 3D Chip Stack with Inductive Coupling ThruChip Interface
Akio Nomura, Yusuke Matsushita, Junichiro Kadomoto, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano
International Journal of Network and Computing Vol.8 ( 1 ) 124 - 139 2018年01月
研究論文(学術雑誌), 共著, 査読有り
-
Escalator Network for a 3D Chip Stack with Inductive Coupling ThruChip Interface
Akio Nomura, Yusuke Matsushita, Junichiro Kadomoto, Hiroki Matsutani, Tadahiro Kuroda, Hideharu Amano
International Journal of Network and Computing Vol.8 ( 1 ) 124 - 139 2018年01月
研究論文(学術雑誌), 共著, 査読有り
-
Deep learning on high performance FPGA switching boards: Flow-in-cloud
Musha K., Kudoh T., Amano H.
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)) 10824 LNCS 43 - 54 2018年
ISSN 03029743
-
Towards an optimized multi FPGA architecture with STDM network: A preliminary study
Hironaka K., Doan N.A.V., Amano H.
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)) 10824 LNCS 142 - 150 2018年
ISSN 03029743
-
Body Bias Domain Partitioning Size Exploration for a Coarse Grained Reconfigurable Accerelator
Yusuke Matsushita, Hayate Okuhara, Koichiro Masuyama, Yu Fujita, Ryuta Kawano, Hideharu Amano
IEICE Transactions on Information and Systems E100-D ( 12 ) 2828 - 2836 2017年12月
研究論文(学術雑誌), 査読有り
-
Glidge aware variable pipeline optimization for CGRAs
天野 英晴
International Conference on ReConGigurable Computing and FPGAs 2017年12月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
HiRy: An Advanced Theory on Design of Deadlock-free Adaptive Routing for Arbitary Topologies
R.Kawano, R.Yasudo, H.Matsutani, M.Koibuchi, H.Amano
International Conference on Parallel and Distributed Systems 2017年12月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
The Design and Implementation of Scalable Deep Neural Network Accelerator Core
R.Sakamoto, R.Takata, J.Isihi, M.Kondo, H.Nakamura, T.Ohkubo, T.Kojima, H.Amano
IEEE International Symposium on Embedded Multicore/Many-core Systems-on-chip 2017年09月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
XYZ-Randomization using TSVs for Low-Latency Energy Efficient 3D-NOCs
Hiroshi Nakahara, N.V.A.Doan, Ryota Yasudo, Hideharu Amano
International Symposium on Network-on-Chip 2017年09月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Multi-objective optimization for application mapping and body bias control on a CGRA
Doan N.A.V., Matsushita Y., Ando N., Okuhara H., Amano H.
Proceedings - IEEE 11th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2017 (Proceedings - IEEE 11th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2017) 2018-January 143 - 150 2017年09月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Order/Radix Problem: Towards Low End-to-End Latency Interconnection Networks
Ryota Yasudo, Michihiro Koibuchi, Koji Nakano, Hiroki Matsutani, Hideharu Amano
International Conference on Parallel Processing 2017年08月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Performance Evaluation of PEACH3: Field Programmable Gate Array Switch for Tightly Coupled Accelerators
Takahiro Kaneda, Toshihiro Hanawa, Chiharu Tsuruta, Hideharu Amano
International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies 2017年06月
研究論文(国際会議プロシーディングス), 査読有り
-
Acceleration of the aggregation process in a Hall-thruster simulation using Intel FPGA SDK for OpenCL
Hiroyuki Noda, Ryotaro Sakai, Takaaki Miyajima, Naoyuki Fujita, Hideharu Amano
Proc. of International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies (HEART2017) 2017年06月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Power Optimization Methodology for Ultra Low Power Microcontroller with Silicon on Thin BOX MOSFET
天野 英晴
IEEE Trans. on VLSI Systems 25 ( 4 ) 1578 - 1582 2017年04月
研究論文(学術雑誌), 共著, 査読有り
-
NAMACHA: A software edevelopment environment for a mutli-chip convolutional network accelerator
天野 英晴
CATA2017, 2017年03月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Scalable Networks-on-Chip with Elastic Links Demarcated by Decentralized Routers
天野 英晴
IEEE Trans. on Computers 66 ( 4 ) 702 - 716 2017年03月
研究論文(学術雑誌), 共著, 査読有り
-
High-Bandwidth Low-Latency Approximate Interconnection Networks
天野 英晴
Proc. of the 23rd IEEE International Symposium on High-Performance Computer Architecture (HPCA'17) 2017年02月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
Novel Chips Stacking Methods to Extend Both Horizontally and Vertically for Many-Core Architectures with ThrouChip Interface
天野 英晴
IEICE Trans. on Information and Systems E99-D ( 12 ) 2871 - 2880 2016年12月
研究論文(学術雑誌), 共著, 査読有り
-
“Variable Pipeline Structure for Coarse Grained Reconfigurable Array CMA
天野 英晴
Proc. of IEEE International Conference on Field Programmable Technologies 2016年12月
研究論文(国際会議プロシーディングス), 共著, 査読有り
-
An Inductive-Coupling Bus with Collision Detection Scheme Using Magnetic Field Variation for 3-D Network-on-Chips
天野 英晴
Proc. of ASSCC2016 2016年12月
研究論文(国際会議プロシーディングス), 共著
-
LOREN: A Scalable Routing Method for Layout-conscious Random Topologies
天野 英晴
Proc. of the 4th International Symposium on Computing and Networking (CANDAR), 2016年11月
研究論文(国際会議プロシーディングス), 共著
-
Vertical Packet Switching Elevator Network Using Inductive Coupling ThruChip Interface
天野 英晴
Proc. of the 4th International Symposium on Computing and Networking (CANDAR) 2016年11月
研究論文(国際会議プロシーディングス), 共著
-
On-the-fly data compression/decompression mechanism with ExpEther
天野 英晴
Proc. of the 4th International Symposium on Computing and Networking (CANDAR) 2016年11月
研究論文(国際会議プロシーディングス), 共著
-
Body Bias Grain Size Exploration for a Coarse Grained Reconfigurable Accelerator
天野 英晴
Proc. of the 26th The International Conference on Field-Programmable Logic and Applications (FPL), 2016年09月
研究論文(国際会議プロシーディングス), 共著
-
Acceleration of Full-PIC simulation on a CPU-FPGA tightly coupled environment
天野 英晴
Proc. of IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2016年09月
研究論文(国際会議プロシーディングス)
-
Multi-Voltage Variable Pipeline Routers with the Same Clock Frequency for Low-power Network-on-Chips Systems
天野 英晴
IEICE Trans. on Electronics E99-C ( 8 ) 909 - 917 2016年08月
査読有り
-
An Operating System Guided Fine-Grained Power Gating Control Based on Runtime Characteristics of Applications
天野 英晴
IEICE Trans. on Electronics E99-C ( 8 ) 926 - 035 2016年08月
研究論文(学術雑誌), 共著, 査読有り
-
ACRO: Assignment of Channels in Reverse Order to Make Arbitrary Routing Deadlock-free
天野 英晴
15th IEEE/ACIS International Conference on Computer and Information Science(ICIS) 2016年06月
研究論文(国際会議プロシーディングス)
-
Performance Evaluation of PEACH3: an FPGA switch for tightly coupled accelerators
天野 英晴
Proc. of International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART2016), 2016年06月
研究論文(国際会議プロシーディングス)
-
Leveraging FDSOI through Body Bias Domain Partitioning and Bias Search
天野 英晴
53nd Design Automation Conference(DAC) 2016年05月
研究論文(国際会議プロシーディングス)
-
SOTB MOSFETを用いた汎用マイクロコントローラV850の動的ボディバイアス制御の検討
天野 英晴
情報処理学会論文誌 57 ( 2 ) 708 - 717 2016年02月
研究論文(学術雑誌)
-
Efficient 3-D Bus Architectures for Inductive-Coupling ThruChip Interfaces
天野 英晴
IEEE Transactions on VLSI Systems 24 ( 2 ) 493 - 506 2016年02月
ISSN 1063-8210
-
Courier: A toolchain for acceleration on Heterogeneous Platforms
天野 英晴
IPSJ Trans. on System LSI Design Methodology 8 ( 2 ) 153 - 162 2015年08月
研究論文(学術雑誌), 共著
-
A Fine-grained Power Gating Control on Linux Monitoring Power Consumption of Processor Functional Unit
天野 英晴
IEICE Transactions on Electronics. E980-C ( 7 ) 559 - 568 2015年08月
研究論文(学術雑誌), 共著, 査読有り
-
A toolchain for Dynamic Function Off-load on CPU-FPGA Platforms
天野 英晴
Journal of Information Processing (IPSJ) 23 ( 2 ) 153 - 162 2015年02月
研究論文(学術雑誌), 共著, 査読有り
-
A Perpetuum Mobile 32bit CPU on 65nm SOTB CMOA Technology with Reverse Body Bias Assisted Sleep Mode
天野 英晴
IEICE Trans. on Electronics E98-C ( 2 ) 153 - 162 2015年02月
研究論文(学術雑誌)
-
A Co-Processor Design for an Energy Efficient Reconfigurable Accelerator CMA
#H(/)-#HR
International Journal of Networking and Computing 5 ( 1 ) 239 - 251 2015年01月
研究論文(学術雑誌), 共著, 査読有り
-
Dynamic Power Consumption Optimization for Inductive-Coupling based Wireless 3D NoCs
天野 英晴
IPSJ Transaction on System LSI Design Methodology 7 27 - 36 2014年07月
共著, 査読有り
-
Reconfigurable Out-of-Order System for Fluid Dynamics Computation Using Unstructured Mesh
T.Akamine, M.S.Abu Talip, Y.Osana, N.Fujita, H.Amano
IEICE Trans. on INF & SYST. E97 ( 5 ) 1225 - 1234 2014年05月
研究論文(学術雑誌), 共著, 査読有り
-
複数コアリンクを用いた低遅延オンチップトポロジーに関する研究
河野、藤原、松谷、天野、鯉渕
電子情報通信学会論文誌 D J97-D ( 3 ) 601 - 613 2014年03月
研究論文(学術雑誌), 共著, 査読有り
-
3D NoC with Inductive-Coupling Links for Building-Blocks SiPs
Y.Take, H.Matsutani, D.Sasaki, M.Koibuchi, T.Kuroda, H.Amano
IEEE Transactio on Computers 63 ( 3 ) 748 - 763 2014年03月
研究論文(学術雑誌), 共著, 査読有り
-
A Scalaable 3D Heterogeneous Multicore with an Inductive ThruChip Interface 3D NoC
N.Miura, Y.Koizumi, Y.Take, H.Matsutani, T.Kuroda, H.Amano, R.Sakamoto, M.Namiki, K.Usami, M.Kondo, H.Nakamura
IEEE Micro 33 ( 6 ) 27 - 36 2013年12月
研究論文(学術雑誌), 共著, 査読有り
-
Adaptive Flux Calculation Scheme in Advection Term Computation Using Partial Reconfiguration
M.Sofian Abu Talip, T.Akamine, M.Hatto, Y.Osana, H.Amano
International Journmal of Networking and Computing 3 ( 3 ) 289 - 306 2013年08月
研究論文(学術雑誌), 共著, 査読有り
-
Dynamic Power Consuption Optimization for Inductive Coupling based Wireless 3D NoCs
H.Zhang, H.Matsutani, M.Koibuchi, H.Amano
IPSJ Transactions on SLDM 7 27 - 36 2013年07月
研究論文(学術雑誌), 共著, 査読有り
-
High-Speed Fully-Adaptable CRC Accelerators
A.Akagic and H.Amano
IEICE Trans. Inf. and Syst. (IEICE) E96-D ( 6 ) 2013年06月
研究論文(学術雑誌), 共著, 査読有り
-
Fine-Grained Run-Time Power Gating though Co-Optimization of Circuit, Architecture and System
H.Nakamura and W.Wang and Y.Ohta and K.Usami and H.Amano and M.Kondo and M.Namiki
IEICE Trans. Electron. (IEICE) E96-C ( 4 ) 404-412 2013年04月
研究論文(学術雑誌), 共著
-
Vertical Link On/Off Regulations for Inductive-Coupling Based Wireless 3-D NoCs
H.ZHang, H.Matsutani, Y.Take, T.Kuroda, H.Amano
IEICE Trans. on Inf. and Systems. E96-D ( 12 ) 2753 - 2764 2013年
研究論文(学術雑誌), 共著, 査読有り
-
Partial reconfiguration of flux limiter functions in MUSCL scheme using FPGA
天野 英晴
IEICE Transactions on Information & Systems E-95D ( 10 ) 2369 - 2376 2012年10月
研究論文(学術雑誌), 共著, 査読有り
-
超低消費電力粗粒度アクセラレータCMAのPEアレイアーキテクチャの最適化
小崎信明、宇野理恵、天野英晴
情報処理学会論文誌:コンピューティングシステム 5 ( 5 ) 10-22 2012年10月
研究論文(学術雑誌), 共著, 査読有り
-
VLIW型プロセッサにおけるMixed Power Gatingの研究
石井義史、Wang Weihan、天野英晴
情報処理学会論文誌:コンピューティングシステム 5 ( 5 ) 23-32 2012年10月
研究論文(学術雑誌), 共著
-
Iterative Synthesis Methods Estimating Programmable-Wire Congestion in a Dynamically Reconfigurable Processor
Takao Toi and Takumi OKAMOTO and Toru AWASHIMA and Kazutoshi WAKABAYASHI and Hideharu Amano
IEICE Trans. on Informations and Systems (IEICE) E94-D ( 12 ) 2619-2627 2011年12月
研究論文(学術雑誌), 共著, 査読有り
-
Cool Mega-Arrays: Ultra low-power reconfigurable accelerator Chips
天野 英晴
IEEE Micro (IEEE) 32 ( 6 ) 6-18 2011年11月
研究論文(学術雑誌), 共著, 査読有り
-
Prediction Router: A Low-Latency On-Chip Router Architecture with Multiple Predictors
天野 英晴
IEEE Trans. on Computers (IEEE) 60 ( 6 ) 783-799 2011年06月
研究論文(研究会,シンポジウム資料等), 共著
-
Design and Implementation Fine-grained Power Gating on Microprocessor Functional Units
天野 英晴
IPSJ Trans. on System LSI Design Methodology (IPSJ) 4 ( 0 ) 182-192 2011年04月
研究論文(研究会,シンポジウム資料等), 共著
-
Performace, Area, and Power Evaluations of Ultrafine-Grained Run-Time Power Gating Routers for CMPs
天野 英晴
IEEE Trans. on Computer-Aided Design of Integrated Circuits and systems (IEEE) 30 ( 4 ) 520-534 2011年04月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
Design and Implementation of Echo Instructions for an Embedded Processor
天野 英晴
IPSJ Trans. on System LSI Design Methodology (IPSJ) 4 ( 0 ) 222-231 2011年04月
研究論文(研究会,シンポジウム資料等), 共著
-
A Switch-Tagged Routing Methodology for PC Clusters with VLAN Etnernet
天野 英晴
IEEE Transactions on Parallel and Distributed Systems 22 ( 2 ) 231-244 2011年02月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
An Analytical Network Performance Model for SIMD Processor CSX600 Interconnects
天野 英晴
Journal of Systems Architecture 57 ( 1 ) 146‐159 2011年01月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
Dual-Vthセルの利用による動的リコンフィギャラブルプロセッサのリーク電力削減手法
平井啓一郎、佐野徹、加東勝、斎藤貴樹、天野 英晴
電子情報通信学会論文誌 J94-D ( 1 ) 301‐311 2011年01月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
A Leakage Efficient Data TLB design for Embedded Processors
天野 英晴
IEICE Trans. Inf.& Syst. E94-E ( 1 ) 51‐59 2011年01月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
動的リコンフィギャラブルデバイスにおけるデータパスコンフィギュレーションを用いた構成情報時間削減手法の提案
佐野徹、加東勝、斎藤貴樹、天野 英晴
電子情報通信学会論文誌 J93-D ( 12 ) 2579‐2586 2010年12月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
CMPにおけるオンチップルータの細粒度パワーゲーティングの評価
松谷宏紀、鯉渕道紘、池淵大輔、宇佐美公良、中村宏、天野英晴
情報処理学会論文誌:コンピューティングシステム 3 ( 3 ) 100‐112 2010年09月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
単フリット、単サイクルルータを用いたNoC向け非最短完全適応型ルーティング
西川由理、鯉渕道紘、松谷宏紀、天野 英晴
情報処理学会論文誌:コンピューティングシステム 3 ( 3 ) 88‐99 2010年09月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
MIPS R3000プロセッサにおける細粒度動的スリープ制御の実装と評価
関 直臣、天野 英晴、ほか
電子情報通信学会論文誌 J93-D ( 6 ) 920‐930 2010年06月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
動的リコンフィギャラブルデバイスにおける構成情報配送のためのマルチキャスト手法の検討
中村拓郎, 佐野 徹, 堤 聡, 長谷川揚平, バスタン タンブンヘン, 天野 英晴
電子情報通信学会論文誌 J92-D ( 12 ) 2185-2194 2009年12月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
動的リコンフィギャラブルデバイスにおける電力分析と低電力化手法の検討
西村隆, 平井啓一郎, 斎藤貴樹, 中村拓郎, 堤 聡, 長谷川揚平, 天野英晴
電子情報通信学会論文誌 (電子情報通信学会論文誌) J92-D ( 10 ) 1763-1771 2009年10月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
パイプラインステージ統合による省電力・可変パイプラインルータに関する研究
枚田優人, 松谷宏紀, 鯉渕道紘, 天野 英晴
情報処理学会論文誌コンピューティングシステム 2 ( 3 ) 71-82 2009年09月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
低遅延オンチップネットワークのための予測ルータの評価
松谷宏紀, 鯉渕道紘, 天野英晴, 吉永努
情報処理学会論文誌コンピューティングシステム 2 ( 3 ) 26-38 2009年09月
研究論文(学術雑誌), 共著, 査読有り
-
Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network
天野 英晴
IEEE Transaction on Paralel and Distributed Systems (IEEE) 20 ( 8 ) 1126-1141 2009年08月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
A Link Removal Methodology for Application-Specific Networks-on-Chip on FPGAs
天野 英晴
IEICE Transaction on Information and Systems (IEICE) E92-D ( 4 ) 575-583 2009年04月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
DIMMスロット搭載型ネットワークインタフェースDIMMnet-Iとその高バンド幅通信機構BOTF
田邊、山本、濱田、中條、工藤、天野
情報処理学会論文誌HPS 43 ( 4 ) 866-878 2002年04月
研究論文(学術雑誌), 単著
-
L-turn routing: An Adaptive Routing in Irregular Networks
M.Koibuchi, A.Funahashi, A.Jouraku, H.Amano,
International Conference on Parallel Processing 374-383 2001年09月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
Recursive Diagonal Torus: an interconnection network for massively parallel computers
Y.Yang,A.Funahashi,A.Jouraku,H.Nishi,H.Amano,T.Sueyoshi
IEEE Trans. on Parallel and Distributed Systems (IEEE.Trans.on Parallel and Distributed Processing) 12 ( 7 ) 701-715 2001年07月
研究論文(研究会,シンポジウム資料等), 共著
-
L-turn routing: Irregular Network におけるAdaptive Routing
鯉渕、舟橋、上楽、天野
情報処理学会論文誌 HPS (情報処理学会論文誌HPS3) 42 ( 9 ) 119-134 2001年07月
共著
-
データ駆動型ハードウェアにおける自動ページ分割手法
柴田、高山、岩井、天野
(情報処理学会論文誌) 2001年04月
-
適応型ルーティングにおけるOutput Selection Functionに関する研究
鯉渕、舟橋、上楽、天野
情報処理学会論文誌 42 ( 4 ) 704-713 2001年04月
研究論文(学術雑誌), 単著, 査読有り
-
並列計算機シミュレータの構築支援環境
若林、天野
電子情報通信学会論文誌 Vol.J84-D-I ( No.3 ) 2001年03月
共著
-
A prototype chip of multicontext FPGA with DRAM for Virtual Hardware
D.Kawakami,Y.Shibata,H.Amano
Proc. Of ASP-DAC2001 2001年01月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
On the fly sending:A Low latency high bandwidth Message Transfer Mechanism
N.Tanabe et.al.
Proc.Of IEEE I-SPAN2000 2000年12月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
64Mb/s Highly Reliable switch using parallel optical interconnection
S.Nishimura, T.Kudoh, H.Nishi,J.Yamamoto, K.Hasegawa, N.Matsubara, S.Akutsu H.Amano
(IEEE Journal of Lightwave Technology) 2000年12月
共著
-
64Gb/s Highly Reliable Network Switch Using Parallel Optical Interconnection
Nishimura et.al.
Journal of Lightwave Technology Vol.18 ( No.12 ) 2000年12月
共著
-
Environment of Multiprocessor Simulator Development
M.Wakabayashi,H.Amano
Proc.Of IEEE I-SPAN2000 2000年12月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
相互結合網RDTにおけるAdaptive Routing
舟橋、上楽、天野
電子情報通信学会論文誌 Vol.J83-D-I ( No.11 ) 2000年11月
共著
-
A Local Area System Network RHiNET-1/SW: A Network for high performance parallel computing
H.Nishi, et.al.
Proc.Of HPDS 2000 2000年08月
研究論文(研究会,シンポジウム資料等), 共著, 査読有り
-
効率良い並列処理をサポートするローカルエリア向けネットワークスイッチ
電子情報通信学会論文誌 Vol. J83-D-I ( No.8 ) 2000年02月
共著
-
A Floating Point Arithmetic Unit for a Static Scheduling and Compiler oriented multiprocessor system ASCA
ASP DAC 2000 2000年01月
共著, 査読有り
-
A Torus Assignment for an Interconnection Network Recursive Diagonal Torus
IEEE International Symposium on Parallel Architectures,Algorithms,and Networks(ISPAN99) 1999年11月
共著, 査読有り
-
RHiNET:A network for high performance parallel computing using locally distributed computers
Innovative Architecture for future generation high performance processors and systems 1999年10月
共著, 査読有り
-
A network switch using optical interconnection for high performance parallel computing
Parallel Interconnect 99 1999年10月
共著, 査読有り
-
Adaptive Routing on the Recursive Diagonal Torus
The 12th ISCA International Conference on Parallel and Distributed Computing Systems 1999年08月
共著, 査読有り
-
Internal Parallelization of Data-Driven Virtual Hardware
International Workshop on Parallel Execution on Reconfigurable Hardware 1999年08月
共著, 査読有り
-
Implementation and Evaluation of the Compiler for WASMII, a Virtual Hardware System
International Workshop on Parallel Execution on Reconfigurable Hardware 1999年08月
共著, 査読有り
-
An educational system of LSI design with Free-wares for VDEC
IEEE International Conference on Microelectronic Systems Education 1999年07月
共著, 査読有り
-
Home Proxy Cacheによる分散共有メモリの高速化
情報処理学会論文誌 第40巻 ( 5号 ) 1999年05月
共著
-
オンチップマルチプロセッサ用半共有型擬似連想キャッシュ
情報処理学会論文誌 第40巻 ( 5号 ) 1999年05月
共著
-
DRAM混載型FPGAを用いたデータ駆動型仮想ハードウェア
情報処理学会論文誌 第40巻 ( 5号 ) 1999年05月
共著
-
MBP-light:A Processor for Management of the Distributed Shared Memory on JUMP-1
COOL Chips II 1999年04月
共著, 査読有り
-
Performance evaluation of SNAIL:A multiprocessor based on the SSS architecture
Parallel Computing,25 1999年04月
共著
-
A Routing Algorithm for Multihop WDM Ring
IEICE Trans. Inf & Syst. Vol.E82?D ( No.2 ) 1999年
共著
-
ローカルメモリを持つマルチプロセッサのソフトウェア環境EULASHのプリコンパイラの評価(その他)
山本淳二、鬼頭宏幸、美辺央希、山口喜弘、天野英晴
電子情報通信学会論文誌 D-Ⅰ Vol.J81-D-Ⅰ ( No.10 ) 1998年10月
共著
-
Pruning Cacheを用いた分散共有メモリのディレクトリ構成法
西村克信、工藤知宏、天野英晴
情報処理学会論文誌 第39巻 ( 6 ) 1998年06月
共著
-
超並列計算機JUMP-1における分散共有メモリ管理プロセッサMBP-light
安生、井上、佐藤、工藤、天野、平木
情報処理学会論文誌 第39巻 ( 6 ) 1998年06月
共著
-
多次元構造を持つMIN
塙敏博、朱笑岩、亀井貴之、天野英晴
情報処理学会論文誌 第39巻 ( 6 ) 1998年06月
共著
-
Wavelength Division Multiple Access Ring
X. Dong, T. Kudoh, H. Amano
IEICE Trans. Inf and Syst. April 1998 E81-D ( No.4 ) 1998年04月
共著
-
FPGAを用いたマルコフチェーンシミュレーションシステム
電子情報通信学会論文誌D-Ⅰ Vol.J80 ( No.10 ) 1997年10月
共著
-
The RDT router chip:a versatile router for supporting a distributed shared memory
IEICE Trans.Inf.and Syst. Vol.E80-D ( No.9 ) 1997年09月
共著
-
MINC:Multistage Interconnection Network with Cache control mechanism
IEICE Trans.Inf.and Syst. Vol.E80-D ( No.9 ) 1997年09月
共著
-
IEEE標準バスFuturebusにおけるバスアービトレーションプロトコルの公平性とオーバーヘッドの解析
電子情報通信学会論文誌D-Ⅰ Vol.J80 ( No.5 ) 1997年05月
共著
-
The MDX:A Class of networks for large scale multiprocessors
A.Murata,T.Boku,H.Amano
IEICE Trans.Inf.and Syst. Vol.E79-D ( No.8 ) 1996年08月
共著
-
Fault Torelantce of the TBSF and PBSF
A.Funahashi,T.Hanawa,H.Amano
IEICE Trans.Inf.and Syst. Vol.E79-D ( No.8 ) 1996年08月
共著
-
相互結合網RDT上での階層マルチキャストによるメモリコヒーレンス維持手法
西村克信、工藤知宏、西宏章、天野英晴
情報処理学会論文誌 1996年07月
共著
-
シングルチップマルチプロセッサのためのスヌープキャッシュの検討
寺澤卓也、井上敬介、黒澤飛斗矢、天野英晴
電子情報通信学会論文誌 1996年04月
共著
-
Message Transfer Algorithms on the Recursive Diagonal Torus
Y.Yang, H. Amano
IEICE Trans. Inf. And Syst. , Vol.E79-D ( No.2 ) 1996年02月
共著
-
An MPLD with Data-Driven Control on a Virtual Hardware
X.Ling, H.Amano
The Journal of Supercomputing, Vol.9 ( No.3 ) 1995年10月
共著
-
Neural network parallel computing for multi-layer channel routing problems
K.Suzuki,H.Amano,Y.Takefuji
Neurocomputing,8,(1995), 141-156 1995年08月
共著
-
多重出力可能なMINの性能評価
塙敏博,天野英晴
情報処理学会論文誌, 36-7, 1630-1639 1995年07月
共著
-
SSS型MINに基づくマルチプロセッサSNAIL
笹原正司,寺田純,大和純一,塙敏博,天野英晴
情報処理学会論文誌, 36-7, 1640-1651 1995年07月
共著
-
A performance evaluation of the multiprocessor testbet ATTEMPT-0
T.Terasawa,O.Yamamoto,T.Kudoh,H.Amano
Parallel Computing, 21, 701-730 1995年05月
共著
-
超並列計算機向き結合網:RDT
楊愚魯,天野英晴,柴村英智,末吉敏則
電子情報通信学会論文誌 J78-D-I ( No.2 ) 118-128 1995年02月
共著
-
数値計算における疎密のある物理空間に対応するマッピング:ローリングマッピング
村田淳,天野英晴
情報処理学会論文誌 Vol.35 ( No.2 ) 1994年02月
共著
-
データ駆動型制御機構付きMPLDを用いた並列処理マシンWASMIIの仮想化
情報処理学会論文誌 Vol.35 ( No.4 ) 1994年
共著
-
SSS型MINにおけるhot spotの影響とメッセージ結合の評価
電子情報通信学会論文誌D-I Vol.J77 ( No.5 ) 1994年
共著
-
WASMII:データ駆動型制御機構を持つMPLD
電子情報通信学会論文誌D-I Vol.J77 ( No.4 ) 1994年
共著
-
An Extended Fault-Tolerant Batcher Network
Chan,C.T.and Amano,H.
International Journal of MINI & Microcomputers 1993年10月
共著
-
SSS(Simple Serial Synchronized)型マルチステージネットワーク
天野英晴,藤川義文,周洛
情報処理学会論文誌 Vol.34 ( No.5 ) 1134-1143 1993年05月
共著
-
並列システム解析モデルSTMTネット
天野英晴,その他
電子情報通信学会論文誌D-I Vol.J75-D-I ( No.8 ) 654-663 1992年08月
共著
-
A Batcher-Double-Omega Network with Combining
天野英晴,その他
IEICE Trans.Inf.& Syst. ,May Vol.E75-D ( No.3 ) 307-314 1992年05月
共著
-
問い合わせに基づく並列論理シミュレーションアルゴリズム
天野英晴,その他
電子情報学会論文誌D-I Vol.J75-D-I ( No.4 ) 221-231 1992年04月
共著
-
バス結合型並列計算機の交信用メモリの性能評価
天野英晴,その他
情報処理学会論文誌 第33巻 ( 3号 ) 307-319 1992年03月
共著
-
バチャー網の故障診断と回避法
電子情報通信学会論文誌D-I Vol.J75 ( No.11 ) 1992年
単著
-
スタンフォード大学の並列計算機研究
天野英晴
情報処理 1991年12月
研究論文(学術雑誌), 単著
-
(SM)2:A Large Scale Multiprocessor for Sparse Matrix Computation
天野英晴,朴 泰佑,工藤知宏
IEEE Trans.on Computer 1990年07月
共著
-
マルチプロセッサのための科学技術計算用並行記述言語NCC
朴 泰佑,天野英晴 その他
電子情報通信学会論文誌 1989年10月
共著
-
The Compatible Acknowledging Ethernet
IEICE Trans Vol.E70 ( No.10 ) 1987年
共著
-
格子状トポロジーを持つ数値計算用並列計算機の動的な故障回復法
電子通信学会論文誌D 1985年06月
共著
-
マルチリードメモリを用いた並列計算機の性能解折
電子通信学会論文誌D 1984年09月
共著